Tags:
Node Thumbnail

ผู้บริหาร TSMC ได้ร่วมงานสัมมนา IEEE International Electron Devices Meeting โดยมีประเด็นสำคัญคือเป็นครั้งแรกที่ TSMC พูดถึงการพัฒนาชิป 1.4 นาโนเมตร

ในสไลด์ที่นำเสนอ TSMC พูดถึงโรดแมปการพัฒนาชิป ซึ่งชิปที่อยู่ในขั้นตอนการพัฒนาคือโค้ดเดม N2 (2 นาโนเมตร) ที่คาดว่าจะเริ่มผลิตในปี 2025 และ A14 ที่เป็นชิป 1.4 นาโนเมตร โดยยังไม่ระบุปีที่เริ่มผลิตได้ แต่คาดว่าอย่างน้อยเป็นปี 2027-2028

Tags:
Node Thumbnail

ถ้ายังจำกันได้ ปีที่แล้ว 2022 สหรัฐอเมริกาผ่านกฎหมาย CHIPS Act ที่มีเป้าหมายส่งเสริมการผลิตชิปบนแผ่นดินอเมริกา เนื้อหาของกฎหมายฉบับนี้คือรัฐบาลส่งเสริมการลงทุนผลิตชิปให้แก่บริษัทเอกชน ทั้งในรูปแบบเครดิตภาษี และการจ่ายเงินให้โดยตรง

ล่าสุด รัฐบาลสหรัฐประกาศอนุมัติเงินอุดหนุนก้อนแรกภายใต้ CHIPS Act แล้ว โดยให้เงิน BAE Systems บริษัทผู้ผลิตอาวุธสัญชาติอังกฤษ (British Aerospace เดิม) เพื่อปรับปรุงศูนย์ Microelectronics Center (MEC) ในรัฐนิวแฮมป์เชียร์ เป็นมูลค่า 35 ล้านดอลลาร์

Tags:
Node Thumbnail

กูเกิลเปิดตัวชิป TPU v5p รุ่นใหม่ล่าสุดที่เตรียมจะใช้เร่งความเร็วในการพัฒนา Generative AI โดยเฉพาะ

พลังประมวลผลต่อชิปของ TPU v5p นั้นสูงกว่ารุ่นก่อนหน้ามาก แต่จุดเด่นเป็นพิเศษคือ จำนวนชิปต่อตู้ (pod) นั้นสูงถึง 8,960 ชิป มากกว่าสองเท่าตัวของ TPU v4 และแรมต่อชิปนั้นสูงถึง 95GB เทียบกับ TPU v4 ที่เคยรับแรม 32GB แนวทางนี้ NVIDIA ก็เคยออกการ์ดรุ่นพิเศษเพื่องานกลุ่ม LLM ที่ต้องการแรมปริมาณสูงมาก

Tags:
Node Thumbnail

แอปเปิลประกาศเซ็นสัญญากับ Amkor บริษัทเซมิคอนดักเตอร์สัญชาติอเมริกัน ว่าจะใช้บริการโรงงานแห่งใหม่ของ Amkor ในแอริโซนา เพื่อทำแพ็กเกจชิป Apple Silicon ที่ผลิตจากโรงงาน TSMC ในบริเวณใกล้ๆ กัน

ถึงแม้ Amkor เป็นบริษัทที่มีสำนักงานใหญ่ในสหรัฐ แต่โรงงานทั้งหมดกลับอยู่ในเอเชีย เช่น เกาหลีใต้ ญี่ปุ่น ไต้หวัน ฟิลิปปินส์ มาเลเซีย บริษัทเพิ่งประกาศตั้งโรงงานแห่งแรกในสหรัฐ โดยมีงบลงทุนทั้งหมด 2 พันล้านดอลลาร์ จ้างงาน 2,000 ตำแหน่งเมื่อเปิดให้บริการเต็มรูปแบบแล้ว

Tags:
Node Thumbnail

AMD เปิดศูนย์ออกแบบชิป (global design center) ที่ใหญ่ที่สุดของตัวเองชื่อ Technostar ตั้งอยู่ในเมืองเบงกาลูรู ประเทศอินเดีย ตั้งเป้ามีวิศวกรทั้งหมด 3,000 คน มานั่งออกแบบและพัฒนาเทคโนโลยีด้านเซมิคอนดักเตอร์ใหม่ๆ ที่นี้

AMD เคยประกาศลงทุนในอินเดียเป็นมูลค่า 400 ล้านดอลลาร์ภายในช่วงเวลา 5 ปี ซึ่งศูนย์ออกแบบชิปแห่งนี้ถือเป็นหนึ่งในแผนการของ AMD ด้วย พื้นที่ในศูนย์มีทั้งหมด 60,000 ตารางฟุต นอกจากพื้นที่ทำงานและยังมีศูนย์เดโมผลิตภัณฑ์ของ AMD สำหรับผู้เยี่ยมชมภายนอก

Tags:
Node Thumbnail

AWS เปิดตัวชิปของตัวเอง 2 ตัวพร้อมกันในงาน re:Invent 2023 ได้แก่ ซีพียู Graviton 4 และชิปฝึกปัญญาประดิษฐ์ Trainium 2

Graviton 4 เป็นซีพียู ARMv9 ภายในเป็นคอร์ Neoverse V2 96 คอร์ เพิ่มขึ้นจาก Graviton3 ที่เคยมี 64 คอร์ และยังมีแบนวิดท์แรมเพิ่มขึ้น 30% ใส่แรมได้มากขึ้น 3 เท่าตัว ความพิเศษอีกอย่างคือชิปใหม่นี้สามารถเข้ารหัสการส่งข้อมูลออกนอกชิปทั้งหมด

Trainium 2 ชิปฝึกปัญญาประดิษฐ์ ออกแบบมารองรับการฝึก LLM ระดับล้านล้านพารามิเตอร์ ประสิทธิภาพการฝึกรวมเพิ่มขึ้นกว่ารุ่นแรก 4 เท่าและรองรับหน่วยความจำได้มากกว่าเดิม 3 เท่า ตอนนี้ Anthropic และ MosaicML ผู้พัฒนา LLM ก็ออกมาแสดงความสนใจจะใช้ Trainium 2 ฝึกโมเดล LLM ตัวต่อไป

Tags:
Node Thumbnail

มีรายงานว่า TSMC กำลังพิจารณาสร้างโรงงานแห่งที่ 3 ในประเทศญี่ปุ่นสำหรับการผลิตชิป 3 นาโนเมตร เพื่อกระจายการผลิตชิปในโซนเอเชียตะวันออก โดยโรงงานนี้มีโค้ดเนมในการก่อสร้างว่า TSMC Fab-23 Phase 3 อยู่ในจังหวัด Kumamoto

ก่อนหน้านี้ TSMC มีแผนสร้างโรงงานผลิตชิปในญี่ปุ่นที่ Kumamoto สองโรงงาน โดยโรงงานแรกเป็นชิป 12 นาโนเมตร เริ่มสายการผลิตปี 2024 อีกโรงงานที่สองสำหรับชิป 5 นาโนเมตร เริ่มสายการผลิตปี 2025 ส่วนโรงงานที่ 3 ตามรายงานนั้นยังไม่มีกำหนดเวลา

Tags:
Node Thumbnail

ไมโครซอฟท์เปิดตัวชิปรุ่นใหม่ 2 ตัวในงาน Ignite ปีนี้ คือชิป Cobalt 100 ซีพียูสถาปัตยกรรม Arm ที่ไมโครซอฟท์ออกแบบด้วยตัวเอง และชิปปัญญาประดิษฐ์ Azure Maia 100

ตอนนี้ยังไม่มีรายละเอียดชิปทั้งสองตัวมากนัก โดย Cobalt 100 เป็นมีซีพียูทั้งหมด 128 คอร์ และไมโครซอฟท์ระบุว่าประหยัดพลังงานกว่าชิป Arm อื่นๆ 40% ขณะที่ชิป Azure Maia 100 นั้นออกแบบมาสำหรับการฝึกและรันปัญญาประดิษฐ์กลุ่ม LLM โดยเฉพาะ ชิปทั้งสองตัวมีความพิเศษคือมีเชื่อมต่อเน็ตเวิร์คระดับบ 200Gbps ในตัว

ตอนนี้ Cobalt 100 เริ่มใช้งานภายในบริการของไมโครซอฟท์เองบางส่วนแล้ว และปีหน้าจะเริ่มใช้งาน Azure Maia 100 สำหรับการให้บริการ Azure OpenAI Service เริ่มต้นปีหน้า

Tags:
Node Thumbnail

Pat Gelsinger ซีอีโอของอินเทล ประกาศในงาน Intel Innovation Day Taiwan ว่าบริษัทจะเริ่มทดสอบกระบวนการผลิตขนาด 18A ในไตรมาส 1 ปี 2024 แล้ว ซึ่งยังเดินหน้าไปตามแผนเดิมของบริษัทที่จะผลิตจริงในปี 2025

ยุทธศาสตร์ของอินเทลในยุค Gelsinger คือต้องการทวงความเป็นผู้นำในแง่กระบวนการผลิตกลับคืนมา หลังจากติดหล่ม 14nm อยู่นานหลายปี และโดนคู่แข่งอย่าง TSMC และซัมซุงแซงหน้าไปไกล แนวทางของ Gelsinger คือเร่งอัพเกรดกระบวนการผลิต 5 ครั้งให้ได้ภายใน 4 ปี (5 nodes in 4 years) ซึ่งล่าสุดยังเดินหน้าได้ตามแผน

Tags:
Node Thumbnail

Fujio Mitarai ซีอีโอ Canon เปิดเผยว่าเครื่องจักรสำหรับใช้ในการผลิตชิป ด้วยเทคโนโลยี Nano-imprint ของบริษัท จะมีราคาถูกกว่าเครื่องจักรเทคโนโลยี EUV (extreme ultraviolet lithography) ของ ASML โดยน้อยกว่ากันระดับเป็นตัวเลขหนึ่งหลัก อย่างไรก็ตาม Canon ยังไม่เปิดเผยราคาเครื่องจักรนี้อย่างเป็นทางการ

การทำงานของเครื่องจักรแบบ Nano-imprint นั้น ใช้วิธีฉายแพตเทิร์นลงที่แผ่นเวเฟอร์โดยตรง ซึ่งแตกต่างจากวิธีการของ EUV โดยเทคโนโลยีนี้ Canon พัฒนาร่วมกับ Dai Nippon Printing และ Kioxia Holdings มาเกือบ 10 ปีแล้ว

Tags:
Node Thumbnail

Nguyen Chi Dung รัฐมนตรีกระทรวงวางแผนและการลงทุนประกาศว่าจะฝึกวิศวกรที่เกี่ยวข้องกับอุตสาหกรรมเซมิคอนดักเตอร์ให้ได้ 50,000 คนภายในปี 2030 พร้อมกับจะมีนโยบายจูงใจอุตสาหกรรมให้เข้ามาตั้งในประเทศต่อไป เขาระบุว่าตอนนี้บริษัทเซมิคอนจากหลายประเทศแสดงความสนใจมาเปิดโรงงานในเวียดนาม ทั้งจากสหรัฐฯ เกาหลีใต้, ญี่ปุ่น, และยุโรป

เวียดนามเป็นหนึ่งในสองประเทศของอาเซียนที่อินเทลมีโรงงาน แต่ยังเป็นโรงงานประกอบชิปและทดสอบ โดยเมื่อต้นปีที่ผ่านมาก็มีข่าวว่าจะขยายโรงงานเพิ่มเติม ขณะที่เวียดนามพยายามผลักดันโรงงานผลิตในประเทศ โดยมี Viettel และ FPT ทำธุรกิจออกแบบชิป

Tags:
Node Thumbnail

แอปเปิลเปิดตัวชิปประมวลผลสาย Apple Silicon รุ่นใหม่ตระกูล M3 โดยคราวนี้เปิดตัวรวดเดียวทั้ง M3, M3 Pro และ M3 Max ทั้งหมดเป็นเทคโนโลยี 3 นาโนเมตรครั้งแรก เน้นจุดขายส่วนการประมวลกราฟิกจีพียูที่ทั้งให้ประสิทธิภาพและการใช้พลังงานที่ดีกว่า มี Dynamic Caching สำหรับจัดการหน่วยความจำสำหรับแต่ละงานได้ดีขึ้น และรองรองรับ Mesh Shading และ Ray Tracing

Tags:
Node Thumbnail

รัฐบาลสหรัฐฯ แจ้ง NVIDIA ว่าคำสั่งแบนการส่งออกชิปที่ประกาศก่อนหน้านี้ ให้มีผลทันทีจากเดิมที่ให้เวลาประกาศล่วงหน้า 30 วัน ส่งผลให้ไม่สามารถส่งชิป A100, A800, H100, H800, และ L40S ไปยังจีนก่อนได้รับอนุญาต

ทาง NVIDIA ระบุว่าการเปลี่ยนแปลงคำสั่งนี้ไม่ได้มีผลต่อการเงินบริษัทอย่างมีนัยสำคัญแต่อย่างใด

การประกาศแบนของรัฐบาลสหรัฐฯ ส่งผลให้หุ้น NVIDIA ตกลงไประยะหนึ่ง แต่ก็กลับขึ้นมาได้ ราคาล่าสุดอยู่ที่ 436.63 ดอลลาร์ มูลค่าตลาดยังคงเกิน 1 ล้านล้านดอลลาร์อยู่

ที่มา - SEC

Tags:
Node Thumbnail

กระทรวงพาณิชย์สหรัฐฯ ประกาศขยายเกณฑ์การขออนุญาตส่งออกสินค้าเทคโนโลยีสูงไปยังบริษัทจีน จากเดิมอาศัยเกณฑ์แบนวิดท์การส่งข้อมูลเข้าออกชิป มาเป็นเกณฑ์ประสิทธิภาพรวม ทำให้กระทบบริษัทอย่าง NVIDIA ที่เคยสามารถออกชิปรุ่นพิเศษสำหรับขายในตลาดจีนได้

นอกจากการปรับหลักเกณฑ์สินค้าที่ต้องขออนุญาตก่อนส่งออกแล้ว ยังกำหนดเงื่อนไขเพิ่มเติมสำหรับบริษัทที่ห้ามขายสินค้าให้ ครอบคลุมไปถึงบริษัทใดๆ ก็ตามที่บริษัทแม่อยู่ในจีน แม้ตัวบริษัทที่ซื้อสินค้าจะอยู่ในประเทศอื่น นอกจากนี้ยังมีรายชื่อประเทศเฝ้าระวังที่ต้องแจ้งเตือนรัฐบาล หรือการขายสินค้าที่ไม่เข้าเกณฑ์ห้ามส่งออกอย่างการ์ดจอเล่นเกมก็ต้องแจ้งรัฐบาลเพื่อตรวจสอบการใช้งานสำหรับ AI เช่นกัน

Tags:
Node Thumbnail

Raspberry Pi Foundation ปล่อยเอกสาร datasheet ของชิป RP1 ที่ใช้ในบอร์ด Rospberry Pi 5 สำหรับจัดการ I/O เช่น GPIO, SDIO, USB, กล้อง, จอภาพ, และอีเธอร์เน็ต โดยเอกสารที่เปิดออกมายังเป็นเพียงฉบับร่าง แต่ทางมูลนิธิก็ระบุว่าน่าจะช่วยให้นักพัฒนาระบบปฎิบัติการอื่นๆ สามารถพอร์ตระบบปฎิบัติการมาได้

datasheet แสดงชิ้นส่วนภายใน RP1 ว่าส่วนประกอบหลักคือ bus fabric ที่เชื่อม PCIe จากซีพียูหลักมายัง I/O ต่างๆ และภายในมีซีพียู Cortex-M3 อีกสองคอร์ สำหรับคอนฟิกการทำงานของตัวชิปเอง พร้อม boot ROM และ RAM ขนาด 64kB ที่ใช้งานร่วมกับอุปกรณ์ต่างๆ ในชิป

Tags:
Node Thumbnail

อินเทลประกาศแผนแยกธุรกิจกลุ่ม PSG หรือ Programmable Solutions Group ออกไปเป็นบริษัทอิสระ เพื่อให้มีความคล่องตัวและสามารถขยายฐานลูกค้าใหม่ได้มากขึ้น โดยให้ Sandra Rivera รองประธานของอินเทลเป็นซีอีโอใหม่ของธุรกิจนี้ คาดว่าจะมีผลตั้งแต่ 1 มกราคม 2024 เป็นต้นไป จากนั้นบริษัทใหม่นี้จะไอพีโอเข้าตลาดหุ้นภายใน 2-3 ปี

ก่อนหน้านี้อินเทลก็แยกบริษัทส่วนธุรกิจพัฒนารถยนต์ไร้คนขับ Mobileye ออกเป็นบริษัทอิสระ และไอพีโอเข้าตลาดหุ้นเมื่อปีที่แล้ว จึงคาดว่าส่วนธุรกิจ PSG จะเป็นไปในลักษณะเดียวกัน

Tags:
Node Thumbnail

สำนักข่าวรอยเตอรส์อ้างแหล่งข่าวไม่เปิดเผยตัวสองราย ระบุว่า TSMC ขอให้ ASML ชะลอการส่งมอบเครื่องจักรผลิตชิปลง เพราะโรงงานที่กำลังก่อสร้างในรัฐแอริโซนาล่าช้า และความต้องการชิปรวมอาจจะไม่มากเท่าที่คาดไว้ก่อนหน้านี้

Peter Wennink ซีอีโอ ASML เคยเปิดเผยว่ามีผู้ผลิตชิปบางรายขอชะลอการส่งมอบเครื่องจักรจริงแต่ไม่ได้บอกว่าเป็นบริษัทใด อย่างไรก็ดีเขาระบุว่าเป็นการชะลอเพื่อจัดการระยะสั้นเท่านั้น และตอนนี้ ASML เดินสายการผลิตเต็มกำลังอยู่

Tags:
Node Thumbnail

Sony Semiconductor Solutions (SSS) บริษัทชิปในกลุ่มโซนี่ เปิดตัวชิปเก็บเกี่ยวพลังงาน (energy harvesting) จากคลื่นวิทยุที่ปกติเป็นสัญญาณรบกวนอยู่ทั่วไป โดยสามารถเก็บเกี่ยวพลังงานได้ระดับไมโครวัตต์จนถึงมิลลิวัตต์

การเก็บเกี่ยวพลังงานจากคลื่นวิทยุ อาศัยคลื่นตั้งแต่ย่านไม่กี่เฮิรตซ์ไปจนถึงระดับ 100 เมกกะเฮิรตซ์ การใช้คลื่นแม่เหล็กไฟฟ้าเช่นนี้ทำให้ สามารถหาพลังงานได้ตลอดเวลาแม้อยู่ในร่มหรือสภาพอากาศไม่มีแสงแดด

นอกจากการเก็บเกี่ยวพลังงานแล้ว โมดูลนี้ยังสามารถใช้ตรวจสอบคลื่นรบกวนจากแหล่งต่างๆ เช่นตรวจสอบความผิดปกติของมอเตอร์

ตอนนี้ SSS ยังไม่เปิดเผยราคาของโมดูลนี้ แต่ระบุว่ากำลังหาพันธมิตรมาร่วมพัฒนาโซลูชั่นร่วมกัน

Tags:
Node Thumbnail

อินเทลประกาศบรรลุข้อตกลงที่จะขายหุ้น IMS Nanofabrication (IMS) คิดเป็น 10% ให้กับ TSMC เป็นเงิน 430 ล้านดอลลาร์ หรือเท่ากับ TSMC ให้มูลค่า IMS ที่ 4.3 พันล้านดอลลาร์ ซึ่งมูลค่านี้เท่ากับราคาที่อินเทลขายหุ้น IMS 20% ให้กองทุน Bain Capital ก่อนหน้านี้

อินเทลบอกว่าหลังดีลขายหุ้นนี้ บริษัทยังคงเป็นผู้ถือหุ้นรายใหญ่ที่สุดใน IMS ซึ่งเป็นบริษัทที่ดำเนินงานแยกออกจากอินเทล มี Dr. Elmar Platzgummer เป็นซีอีโอ

IMS เป็นบริษัทที่มีความเชี่ยวชาญในเทคโนโลยี Multi-Beam Mask Writer ซึ่งใช้ในการพัฒนา EUV ที่เป็นพื้นฐานเทคโนโลยีของการผลิตชิปขั้นสูง โดยอินเทลซื้อกิจการ IMS มาในปี 2015

Tags:
Node Thumbnail

GlobalFoundries บริษัทรับผลิตชิปประกาศเปิดโรงงานใหม่มูลค่า 4 พันล้านดอลลาร์ ที่สิงคโปร์วันนี้ โดย Thomas Caulfield ซีอีโอของบริษัทบอกว่าเพื่อรองรับความต้องการชิปที่เพิ่มขึ้น มองว่าในสิบปีข้างหน้าอุตสาหกรรมนี้จะเติบโตอีกเท่าตัว

ทั้งนี้ GlobalFoundries ประกาศแผนขยายโรงงานในสิงคโปร์เมื่อปี 2021 ซึ่งจะเพิ่มกำลังการผลิตเวเฟอร์ 300 มิลลิเมตร ได้อีก 450,000 แผ่นต่อปี รวมกำลังผลิตของ GlobalFoundries ในประเทศเป็น 1.5 ล้านแผ่น

Tags:
Node Thumbnail

MediaTek ประกาศความสำเร็จว่าสามารถผลิตชิป Dimensity ด้วยกระบวนการผลิต 3nm ของ TSMC สำเร็จแล้ว โดยจะเริ่มผลิตเป็นจำนวนมากในปีหน้า

ตอนนี้ยังไม่มีรายละเอียดของชิป Dimensity ตัวใหม่นี้ (คงต้องรอเปิดตัวทางการอีกที) บอกแค่ว่าชิปจะเริ่มถูกนำไปใช้งานจริงในช่วงครึ่งหลังของปี 2024

กระบวนการผลิต 3nm ของ TSMC ช่วยให้วงจรทรานซิสเตอร์หนาแน่นขึ้น 60% เทียบกับแบบ 5nm ช่วยให้ใช้พลังงานน้อยลง 32% ในระดับการทำงานเท่าเดิม

TSMC เริ่มเดินสายการผลิตชิป 3nm เมื่อปลายปี 2022 และคาดว่าลูกค้ากลุ่มแรกๆ คือแอปเปิลที่จะใช้กับชิป A17 Bionic (iPhone 15) และ M3

Tags:
Node Thumbnail

อินเทลประกาศความร่วมมือกับ Synopsys ผู้สร้างซอฟต์แวร์ออกแบบชิปชื่อดัง เพื่อขยายความเข้ากันได้ของซอฟต์แวร์ EDA (electronic design automation) และทรัพย์สินทางปัญญา แบบแปลนชิปต่างๆ ของ Synopsys ให้ทำงานร่วมกับกระบวนการผลิต Intel 3 และ Intel 18A ของธุรกิจรับจ้างผลิตชิป Intel Foundry Services (IFS)

ผลคือบริษัทออกแบบชิปที่ไม่มีโรงงาน และใช้ซอฟต์แวร์ของ Synopsys จะเข้าไปจ้างอินเทลผลิตชิปได้ง่ายขึ้นในอนาคต (เมื่อ Intel 3 เริ่มใช้งานในปี 2024, ตอนนี้ยังเป็น Intel 7 ที่ใช้ใน Raptor Lake) ตามแผนธุรกิจ IDM 2.0 ของอินเทล ที่เปิดโรงงานของตัวเองให้คนนอกเข้ามาจ้างผลิตได้ด้วย

Tags:
Node Thumbnail

อัพเดต: อินเทลยืนยันการยกเลิกดีลซื้อกิจการนี้อย่างเป็นทางการแล้ว

โดยอินเทลแถลงว่าบริษัทได้หารือร่วมกับ Tower Semiconductor มีข้อตกลงที่จะยกเลิกดีลซื้อขายกิจการ ซึ่งประกาศไว้เมื่อ 15 กุมภาพันธ์ ปีที่แล้ว ซึ่งมีมูลค่า 5.4 พันล้านดอลลาร์ เนื่องจากไม่ได้รับการอนุมัติจากหน่วยงานกำกับดูแลภายในระยะเวลาที่กำหนด ซึ่งอินเทลจะจ่ายค่ายกเลิกสัญญานี้เป็นเงิน 353 ล้านดอลลาร์ ให้กับ Tower

Pat Gelsinger ซีอีโออินเทลกล่าวว่าแผนงาน IDM 2.0 ของบริษัทยังคงเดินหน้าต่อไป และอินเทลจะมองหาโอกาสเพื่อร่วมมือกับ Tower ต่อไปในอนาคต

Tags:
Node Thumbnail

TSMC ประกาศตั้งบริษัทลูกในยุโรปชื่อ European Semiconductor Manufacturing Company (ESMC) ร่วมกับบริษัทเซมิคอนดักเตอร์จากยุโรปอีก 3 รายคือ Bosch, Infineon, NXP (TSMC ถือหุ้น 70% ส่วนอีก 3 รายถือรายละ 10%) โรงงานจะตั้งอยู่ที่เมืองเดรสเดน ประเทศเยอรมนี

โรงงานแห่งนี้จะผลิตชิปจากแผ่นเวเฟอร์ขนาด 300mm โดยใช้เทคโนโลยีการผลิตระดับ 28/22nm และ 16/12nm เน้นฐานลูกค้ากลุ่มยานยนต์และภาคอุตสาหกรรม การก่อสร้างจะเริ่มช่วงครึ่งหลังของปี 2024 และเดินสายการผลิตได้จริงช่วงปลายปี 2027

ที่มา - TSMC, AnandTech

Node Thumbnail

บริษัทด้านเซมิคอนดักเตอร์ชั้นนำ 5 ราย ได้แก่ Bosch, Infineon, Nordic Semiconductor, NXP
Semiconductors, Qualcomm ประกาศร่วมทุน (joint venture) ตั้งบริษัทใหม่ในเยอรมนี เพื่อพัฒนาการใช้งานซีพียูสถาปัตยกรรม RISC-V ให้กว้างขวางขึ้น

บริษัทใหม่แห่งนี้ยังไม่มีชื่อ และไม่ได้บอกสัดส่วนหุ้นว่ารายไหนถือหุ้นเท่าไร บอกแค่ว่าจะเน้นซีพียู RISC-V สำหรับอุตสาหกรรมรถยนต์ก่อน แต่ระยะยาวจะขยายไปยังอุปกรณ์พกพาและ IoT ด้วย

Pages